aboutsummaryrefslogtreecommitdiffstats
path: root/packages/sol-cov/src/globals.d.ts
blob: 368e908b456dfce68144414e530a8d84c08c324d (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
// tslint:disable:completed-docs
declare module '*.json' {
    const json: any;
    /* tslint:disable */
    export default json;
    /* tslint:enable */
}

declare module 'solidity-parser-antlr' {
    export interface BaseASTNode {
        range: [number, number];
    }
    export interface SourceUnit extends BaseASTNode {}
    export interface PragmaDirective extends BaseASTNode {}
    export interface PragmaName extends BaseASTNode {}
    export interface PragmaValue extends BaseASTNode {}
    export interface Version extends BaseASTNode {}
    export interface VersionOperator extends BaseASTNode {}
    export interface VersionConstraint extends BaseASTNode {}
    export interface ImportDeclaration extends BaseASTNode {}
    export interface ImportDirective extends BaseASTNode {}
    export interface ContractDefinition extends BaseASTNode {}
    export interface InheritanceSpecifier extends BaseASTNode {}
    export interface ContractPart extends BaseASTNode {}
    export interface StateVariableDeclaration extends BaseASTNode {
        variables: VariableDeclaration[];
    }
    export interface UsingForDeclaration extends BaseASTNode {}
    export interface StructDefinition extends BaseASTNode {}
    export interface ModifierDefinition extends BaseASTNode {
        name: string;
    }
    export interface ModifierInvocation extends BaseASTNode {
        name: string;
    }
    export interface FunctionDefinition extends BaseASTNode {
        name: string;
    }
    export interface ReturnParameters extends BaseASTNode {}
    export interface ModifierList extends BaseASTNode {}
    export interface EventDefinition extends BaseASTNode {}
    export interface EnumValue extends BaseASTNode {}
    export interface EnumDefinition extends BaseASTNode {}
    export interface ParameterList extends BaseASTNode {}
    export interface Parameter extends BaseASTNode {}
    export interface EventParameterList extends BaseASTNode {}
    export interface EventParameter extends BaseASTNode {}
    export interface FunctionTypeParameterList extends BaseASTNode {}
    export interface FunctionTypeParameter extends BaseASTNode {}
    export interface VariableDeclaration extends BaseASTNode {
        visibility: 'public' | 'private';
        isStateVar: boolean;
    }
    export interface TypeName extends BaseASTNode {}
    export interface UserDefinedTypeName extends BaseASTNode {}
    export interface Mapping extends BaseASTNode {}
    export interface FunctionTypeName extends BaseASTNode {}
    export interface StorageLocation extends BaseASTNode {}
    export interface StateMutability extends BaseASTNode {}
    export interface Block extends BaseASTNode {}
    export interface Statement extends BaseASTNode {}
    export interface ExpressionStatement extends BaseASTNode {
        expression: ASTNode;
    }
    export interface IfStatement extends BaseASTNode {
        trueBody: ASTNode;
        falseBody: ASTNode;
    }
    export interface WhileStatement extends BaseASTNode {}
    export interface SimpleStatement extends BaseASTNode {}
    export interface ForStatement extends BaseASTNode {}
    export interface InlineAssemblyStatement extends BaseASTNode {}
    export interface DoWhileStatement extends BaseASTNode {}
    export interface ContinueStatement extends BaseASTNode {}
    export interface BreakStatement extends BaseASTNode {}
    export interface ReturnStatement extends BaseASTNode {}
    export interface ThrowStatement extends BaseASTNode {}
    export interface VariableDeclarationStatement extends BaseASTNode {}
    export interface IdentifierList extends BaseASTNode {}
    export interface ElementaryTypeName extends BaseASTNode {}
    export interface Expression extends BaseASTNode {}
    export interface PrimaryExpression extends BaseASTNode {}
    export interface ExpressionList extends BaseASTNode {}
    export interface NameValueList extends BaseASTNode {}
    export interface NameValue extends BaseASTNode {}
    export interface FunctionCallArguments extends BaseASTNode {}
    export interface AssemblyBlock extends BaseASTNode {}
    export interface AssemblyItem extends BaseASTNode {}
    export interface AssemblyExpression extends BaseASTNode {}
    export interface AssemblyCall extends BaseASTNode {}
    export interface AssemblyLocalDefinition extends BaseASTNode {}
    export interface AssemblyAssignment extends BaseASTNode {}
    export interface AssemblyIdentifierOrList extends BaseASTNode {}
    export interface AssemblyIdentifierList extends BaseASTNode {}
    export interface AssemblyStackAssignment extends BaseASTNode {}
    export interface LabelDefinition extends BaseASTNode {}
    export interface AssemblySwitch extends BaseASTNode {}
    export interface AssemblyCase extends BaseASTNode {}
    export interface AssemblyFunctionDefinition extends BaseASTNode {}
    export interface AssemblyFunctionReturns extends BaseASTNode {}
    export interface AssemblyFor extends BaseASTNode {}
    export interface AssemblyIf extends BaseASTNode {}
    export interface AssemblyLiteral extends BaseASTNode {}
    export interface SubAssembly extends BaseASTNode {}
    export interface TupleExpression extends BaseASTNode {}
    export interface ElementaryTypeNameExpression extends BaseASTNode {}
    export interface NumberLiteral extends BaseASTNode {}
    export interface Identifier extends BaseASTNode {}
    export type BinOp =
        | '+'
        | '-'
        | '*'
        | '/'
        | '**'
        | '%'
        | '<<'
        | '>>'
        | '&&'
        | '||'
        | '&'
        | '|'
        | '^'
        | '<'
        | '>'
        | '<='
        | '>='
        | '=='
        | '!='
        | '='
        | '|='
        | '^='
        | '&='
        | '<<='
        | '>>='
        | '+='
        | '-='
        | '*='
        | '/='
        | '%=';
    export interface BinaryOperation extends BaseASTNode {
        left: ASTNode;
        right: ASTNode;
        operator: BinOp;
    }
    export interface Conditional extends BaseASTNode {
        trueExpression: ASTNode;
        falseExpression: ASTNode;
    }

    export type ASTNode =
        | SourceUnit
        | PragmaDirective
        | PragmaName
        | PragmaValue
        | Version
        | VersionOperator
        | VersionConstraint
        | ImportDeclaration
        | ImportDirective
        | ContractDefinition
        | InheritanceSpecifier
        | ContractPart
        | StateVariableDeclaration
        | UsingForDeclaration
        | StructDefinition
        | ModifierDefinition
        | ModifierInvocation
        | FunctionDefinition
        | ReturnParameters
        | ModifierList
        | EventDefinition
        | EnumValue
        | EnumDefinition
        | ParameterList
        | Parameter
        | EventParameterList
        | EventParameter
        | FunctionTypeParameterList
        | FunctionTypeParameter
        | VariableDeclaration
        | TypeName
        | UserDefinedTypeName
        | Mapping
        | FunctionTypeName
        | StorageLocation
        | StateMutability
        | Block
        | Statement
        | ExpressionStatement
        | IfStatement
        | WhileStatement
        | SimpleStatement
        | ForStatement
        | InlineAssemblyStatement
        | DoWhileStatement
        | ContinueStatement
        | BreakStatement
        | ReturnStatement
        | ThrowStatement
        | VariableDeclarationStatement
        | IdentifierList
        | ElementaryTypeName
        | Expression
        | PrimaryExpression
        | ExpressionList
        | NameValueList
        | NameValue
        | FunctionCallArguments
        | AssemblyBlock
        | AssemblyItem
        | AssemblyExpression
        | AssemblyCall
        | AssemblyLocalDefinition
        | AssemblyAssignment
        | AssemblyIdentifierOrList
        | AssemblyIdentifierList
        | AssemblyStackAssignment
        | LabelDefinition
        | AssemblySwitch
        | AssemblyCase
        | AssemblyFunctionDefinition
        | AssemblyFunctionReturns
        | AssemblyFor
        | AssemblyIf
        | AssemblyLiteral
        | SubAssembly
        | TupleExpression
        | ElementaryTypeNameExpression
        | NumberLiteral
        | Identifier
        | BinaryOperation
        | Conditional;
    export interface Visitor {
        SourceUnit?: (node: SourceUnit) => void;
        PragmaDirective?: (node: PragmaDirective) => void;
        PragmaName?: (node: PragmaName) => void;
        PragmaValue?: (node: PragmaValue) => void;
        Version?: (node: Version) => void;
        VersionOperator?: (node: VersionOperator) => void;
        VersionConstraint?: (node: VersionConstraint) => void;
        ImportDeclaration?: (node: ImportDeclaration) => void;
        ImportDirective?: (node: ImportDirective) => void;
        ContractDefinition?: (node: ContractDefinition) => void;
        InheritanceSpecifier?: (node: InheritanceSpecifier) => void;
        ContractPart?: (node: ContractPart) => void;
        StateVariableDeclaration?: (node: StateVariableDeclaration) => void;
        UsingForDeclaration?: (node: UsingForDeclaration) => void;
        StructDefinition?: (node: StructDefinition) => void;
        ModifierDefinition?: (node: ModifierDefinition) => void;
        ModifierInvocation?: (node: ModifierInvocation) => void;
        FunctionDefinition?: (node: FunctionDefinition) => void;
        ReturnParameters?: (node: ReturnParameters) => void;
        ModifierList?: (node: ModifierList) => void;
        EventDefinition?: (node: EventDefinition) => void;
        EnumValue?: (node: EnumValue) => void;
        EnumDefinition?: (node: EnumDefinition) => void;
        ParameterList?: (node: ParameterList) => void;
        Parameter?: (node: Parameter) => void;
        EventParameterList?: (node: EventParameterList) => void;
        EventParameter?: (node: EventParameter) => void;
        FunctionTypeParameterList?: (node: FunctionTypeParameterList) => void;
        FunctionTypeParameter?: (node: FunctionTypeParameter) => void;
        VariableDeclaration?: (node: VariableDeclaration) => void;
        TypeName?: (node: TypeName) => void;
        UserDefinedTypeName?: (node: UserDefinedTypeName) => void;
        Mapping?: (node: Mapping) => void;
        FunctionTypeName?: (node: FunctionTypeName) => void;
        StorageLocation?: (node: StorageLocation) => void;
        StateMutability?: (node: StateMutability) => void;
        Block?: (node: Block) => void;
        Statement?: (node: Statement) => void;
        ExpressionStatement?: (node: ExpressionStatement) => void;
        IfStatement?: (node: IfStatement) => void;
        WhileStatement?: (node: WhileStatement) => void;
        SimpleStatement?: (node: SimpleStatement) => void;
        ForStatement?: (node: ForStatement) => void;
        InlineAssemblyStatement?: (node: InlineAssemblyStatement) => void;
        DoWhileStatement?: (node: DoWhileStatement) => void;
        ContinueStatement?: (node: ContinueStatement) => void;
        BreakStatement?: (node: BreakStatement) => void;
        ReturnStatement?: (node: ReturnStatement) => void;
        ThrowStatement?: (node: ThrowStatement) => void;
        VariableDeclarationStatement?: (node: VariableDeclarationStatement) => void;
        IdentifierList?: (node: IdentifierList) => void;
        ElementaryTypeName?: (node: ElementaryTypeName) => void;
        Expression?: (node: Expression) => void;
        PrimaryExpression?: (node: PrimaryExpression) => void;
        ExpressionList?: (node: ExpressionList) => void;
        NameValueList?: (node: NameValueList) => void;
        NameValue?: (node: NameValue) => void;
        FunctionCallArguments?: (node: FunctionCallArguments) => void;
        AssemblyBlock?: (node: AssemblyBlock) => void;
        AssemblyItem?: (node: AssemblyItem) => void;
        AssemblyExpression?: (node: AssemblyExpression) => void;
        AssemblyCall?: (node: AssemblyCall) => void;
        AssemblyLocalDefinition?: (node: AssemblyLocalDefinition) => void;
        AssemblyAssignment?: (node: AssemblyAssignment) => void;
        AssemblyIdentifierOrList?: (node: AssemblyIdentifierOrList) => void;
        AssemblyIdentifierList?: (node: AssemblyIdentifierList) => void;
        AssemblyStackAssignment?: (node: AssemblyStackAssignment) => void;
        LabelDefinition?: (node: LabelDefinition) => void;
        AssemblySwitch?: (node: AssemblySwitch) => void;
        AssemblyCase?: (node: AssemblyCase) => void;
        AssemblyFunctionDefinition?: (node: AssemblyFunctionDefinition) => void;
        AssemblyFunctionReturns?: (node: AssemblyFunctionReturns) => void;
        AssemblyFor?: (node: AssemblyFor) => void;
        AssemblyIf?: (node: AssemblyIf) => void;
        AssemblyLiteral?: (node: AssemblyLiteral) => void;
        SubAssembly?: (node: SubAssembly) => void;
        TupleExpression?: (node: TupleExpression) => void;
        ElementaryTypeNameExpression?: (node: ElementaryTypeNameExpression) => void;
        NumberLiteral?: (node: NumberLiteral) => void;
        Identifier?: (node: Identifier) => void;
        BinaryOperation?: (node: BinaryOperation) => void;
        Conditional?: (node: Conditional) => void;
    }
    export interface ParserOpts {
        range?: boolean;
    }
    export function parse(sourceCode: string, parserOpts: ParserOpts): ASTNode;
    export function visit(ast: ASTNode, visitor: Visitor): void;
}